2018년 10월 27일 토요일

레포트자료디지털공학실험 부울대수와 드모르간의 정리

레포트자료디지털공학실험 부울대수와 드모르간의 정리
[레포트자료]디지털공학실험 부울대수와 드모르간의 정리.hwp


본문
Report
제목 : 부울대수와 드모르간의 정리
과 목 명 : 디지털논리회로실험
학 부 : 전기전자학부
전 공 : 전기전공 A반
담당교수 : 이상호 교수님
학 번 : 1003265
이 름 : 한원석
제출일자 : 2010년 5월 10일
공동실험자 : 7조(홍진현,정인성)
1. 그림1과 같은 회로를 각각 결선하고 입력 변화에 따른 출력 X, Y, Z 의 값을
측정하여 표 2를 완성하여라.
【그림1】
A
X
출력전압
0
1
4.8 V
1
0
0 V
【표2】
A
B
Y
Y출력전압
Z
Z출력전압
0
0
0
0 V
0
0 V
0
1
0
0 V
1
4.8 V
1
0
0
0 V
1
4.8 V
1
1
1
4.8 V
1
4.8 V
- X, Y, Z 에 대한 논리식을 나타내고 , 위 그림들이 어떤 역할을 하는지
설명하여라.
X= bar A BULLET A = bar A + bar A = bar A (1+1)= bar A
( THEREFORE X= bar A NOT게이트와 동일한 역활)
Y= bar A BULLET B BULLET bar A BULLET B = bar A BULLET B + bar A BULLET B =A BULLET B+A BULLET B=A BULLET (B+B)=A BULLET B
(THEREFORE Y=A BULLET B AND게이트와 동일한 역할)
Z= bar A BULLET A BULLET bar B BULLET B = bar A BULLET A + bar B BULLET B =A BULLET A+B BULLET B=A+B
(THEREFORE Z=A+B OR게이트와 동일한 역할)
-드모르간의 정리를 이용하여 NOR 게이트를 이용하여 AND, OR, NOT 게이트를
만들 수 있음을 증명하고 회로를 그려라.
X= bar A BULLET B BULLET bar A BULLET B = bar A BULLET B + bar A BULLET B =A BULLET B+A BULLET B=A BULLET (B+B)=A BULLET B
(THEREFORE Y=A BULLET B AND게이트와 동일)
X= bar A BULLET A BULLET bar B BULLET B = bar A BULLET A + bar B BULLET B =A BULLET A+B BULLET B=A+B
(THEREFORE Z=A+B OR게이트와 동일)
X= bar A BULLET A = bar A + bar A = bar A (1+1)= bar A
( THEREFORE X= bar A NOT게이트와 동일)
2. 그림2와 같은 회로를 구성한 후 두 개의 출력 X와 Y에 대한 이론값과 실제값을
비교 분석하여 표 3을 완성하여라.
【그림2】
【표3】
A
B
C
이론값
측정값
X
Y
X
X출력전압
Y
Y출력전압
0
0
0
0
0
0
0 V
0
0 V
0
0
1
0
0
0
0 V
0
0 V
0
1
0
0
0
0
0 V
0
0 V
0
1
1
1
1
1
4.8 V
1
4.8 V
1
0
0
1
1
1
4.8 V
1
4.8 V
1
0
1
1
1
1
4.8 V
1
4.8 V
1
1
0
1
1
1
4.8 V
1
4.8 V
1
1
1
1
1
1
4.8 V
1
4.8 V
- X, Y에 대한 논리식을 나타내고, X와 Y의 두 회로가 서로 같음을 증명하여라.
X=(A+B) BULLET (A+C)#
````````=AA+AC+AB+BC#
````````=A+AC+AB+BC#
````````=A(1+C)+AB+BC#
````````=A+AB+BC#
````````=A(1+B)+BC#
````````=A+B BULLET C
Y=A+B BULLET C
THEREFORE X=Y
X, Y의 논리식이 동일하므로 서로 같은 회로임.

하고 싶은 말
좀 더 업그레이드하여 자료를 보완하여,
과제물을 꼼꼼하게 정성을 들어 작성했습니다.

위 자료 요약정리 잘되어 있으니 잘 참고하시어
학업에 나날이 발전이 있기를 기원합니다 ^^
구입자 분의 앞날에 항상 무궁한 발전과 행복과 행운이 깃들기를 홧팅

키워드
출력, 회로, 그림, 전기, 논리식, 실험

댓글 없음:

댓글 쓰기